Font Size: a A A

Atomic Force Microscopy Imaging Methods And Systems For Micro And Nano Sidewall Structures

Posted on:2018-05-17Degree:DoctorType:Dissertation
Country:ChinaCandidate:Danish HussainFull Text:PDF
GTID:1312330536481341Subject:Mechanical and electrical engineering
Abstract/Summary:PDF Full Text Request
In the semiconductor industry,micro and nano sidewall structures imaging has high importance for CD metrology.Various tools and techniques are used for this purpose e.g.scatterometry,CD-scanning electron microscope,small angle X-ray scattering and atomic force microscope(AFM).Due to its important traits such as high spatial resolution and non-destructive nature,AFM has emerged as an important sidewall structures imaging tool.However,current AFM methods have several limitations such as limited tip access in case of high-step and large-overhang sidewalls,tip slip on sidewalls(in tilting AFM method)and difficulty in the accurate scanning of adjacent sidewalls.This study aims to circumvent these issues by developing sidewall imaging AFM methods.A tilt-scanning AFM method using an optical fiber probe is developed.Sidewalls are accessed by tilting an optical fiber probe at a suitable tilt angle using a custom fabricated rotatory probe holder.The effect of the tilted angles on the image resolution is investigated.Higher image resolution is obtained at bigger tilt angles while image resolution reduces at small tilt angles due to tip slip and friction.In addition,an automatic switch control scheme is developed to flexibly transfer the servo control from horizontal surfaces(top or bottom)to the sidewalls to accurately measure the sidewall angles.Sidewall of a microelectromechanical system(MEMS)fabricated by deep reactive ion etching and an AFM standard calibration grating TGZ3 are studied.The results demonstrate the performance and robustness of the developed methods.A quartz tuning fork(QTF)force sensor based orthogonal sidewall scanning scheme is developed.In this method,the motion direction of the tip of the QTF sensor is orthogonal to the sidewalls,therefore,tip slip issues are avoided.A two-step electrochemical etching process for fabrication of light weight tungsten tips is proposed.QTF sensors are fabricated with tungsten tips as well as commercial AFM probe tips.Mass re-balancing methods based on the mass sensitivity and geometrical parameters of the tip are proposed to recover the dropped Q-factor due to the attached tip.Two methods are proposed for amplitude calibration of the quartz tuning fork force sensors using an AFM.Deep trench sidewalls of a MEMS structures are scanned and sidewall roughness is characterized.A dual probe AFM caliper is developed for adjacent sidewalls scanning which allows efficient and accurate measurement of the linewidth and linewidth roughness of the micro/nano structures.The caliper is equipped with two laterally tilted and oppositely facing optical fiber probes.The probes can be accurately aligned by mutual scanning of the tip apexes.Sidewall scanning of a micro-comb and AFM calibration grating TGZ3 show the performance of the caliper.A true three-dimensional AFM(3D-AFM)with a dual-axis feedback control proposed.Vector probing scanning scheme is proposed to control the pixel density throughout the sidewall scan.3D-AFM has two individual scanners,each with two degrees of freedom to drive the probe on yz-plane while the sample on xy-plane,respectively.The laterally titled OFP can acquire true 3D images by continuously scanning the bottom,sidewall and top surfaces of the micro-and nano-structures.3D images of a micro-comb structure and TGZ3 AFM grating with controllable pixel density are obtained,which validate the performance and robustness of the developed method.In nutshell,this thesis report a tilt-scanning method for the sidewall with an optical fiber probe,an orthogonal scanning method for the sidewall with a QTF force sensor,a dual-probe AFM caliper for adjacent sidewall scanning,a three-dimensional atomic force microscopy imaging method,as well as the development of the corresponding imaging systems.The developed micro and nano sidewall structures imaging methods and systems have a wide range of applications in the field of CD metrology.
Keywords/Search Tags:Micro and nano sidewall structure, Sidewall imaging, Dual-probe AFM caliper, Three-dimensional AFM, CD metrology
PDF Full Text Request
Related items