Font Size: a A A

Side-implanted piezoresistive shear stress sensor for turbulent boundary layer measurement

Posted on:2009-10-12Degree:Ph.DType:Dissertation
University:University of FloridaCandidate:Li, YaweiFull Text:PDF
GTID:1442390002991588Subject:Engineering
Abstract/Summary:
In this dissertation, I discuss the device modeling, design optimization, fabrication, packaging and characterization of a micromachined floating element piezoresistive shear stress sensor for the time-resolved, direct measurement of fluctuating wall shear stress in a turbulent flow. This device impacts a broad range of applications from fundamental scientific research to industrial flow control and biomedical applications.;The sensor structure integrates side-implanted, diffused resistors into the silicon tethers for piezoresistive detection. Temperature compensation is enabled by integrating a fixed, dummy Wheatstone bridge adjacent to the active shear-stress sensor. A theoretical nonlinear mechanical model is combined with a piezoresistive sensing model to determine the electromechanical sensitivity. Lumped element modeling (LEM) is used to estimate the resonant frequency. Finite element modeling is employed to verify the quasi-static and dynamic models. Two dominant electrical noise sources in the piezoresistive shear stress sensor, 1/f noise and thermal noise, and amplifier noise were considered to determine the noise floor. These models were then leveraged to obtain optimal sensor designs for several sets of specifications. The cost function, minimum detectable shear stress (MDS) formulated in terms of sensitivity and noise floor, is minimized subject to nonlinear constraints of geometry, linearity, bandwidth, power, resistance, and manufacturing limitations. The optimization results indicate a predicted optimal device performance with a MDS of O(0.1 mPa) and a dynamic range greater than 75 dB. A sensitivity analysis indicates that the device performance is most responsive to variations in tether width.;The sensors are fabricated using an 8-mask, bulk micromachining process on a silicon wafer. An n-well layer is formed to control the space-charge layer thickness of reverse-biased p/n junction-isolated piezoresistors. The sensor geometry is realized using reactive ion etch (RIE) and deep reactive ion etch (DRIE). Hydrogen annealing is employed to smooth the sidewall scalloping caused by DRIE. The piezoresistors are achieved by side-wall boron implantation. The structure is finally released from the backside using the combination of DRIE and RIE.;Electrical characterization indicates linear junction-isolated resistors, and a negligible leakage current (<0.12 muA) for the junction-isolated diffused piezoresistors up to a reverse bias voltage of -10 V. Using a known acoustically-excited wall shear stress for calibration, the sensor exhibited a sensitivity of 4.24 muV/Pa, a noise floor of 11.4 mPa/ Hz at 1 kHz, a linear response up to the maximum testing range of 2 Pa, and a flat dynamic response up to the testing limit of 6.7 kHz. These results, coupled with a wind-tunnel suitable package, result in a suitable transducer for turbulence measurements in low-speed flows, a first for piezoresistive MEMS-based direct shear stress sensors.
Keywords/Search Tags:Shear stress, Piezoresistive, Layer, Device
Related items