Font Size: a A A

Experimental, theoretical, and device application development of nanoscale focused electron-beam-induced deposition

Posted on:2006-01-07Degree:Ph.DType:Dissertation
University:The University of TennesseeCandidate:Randolph, Steven JeffreyFull Text:PDF
GTID:1451390005997155Subject:Engineering
Abstract/Summary:
Electron-beam-induced deposition (EBID) is a highly versatile nanofabrication technique that allows for growth of a variety of materials with nanoscale precision and resolution. While several applications and studies of EBID have been reported and published, there is still a significant lack of understanding of the complex mechanisms involved in the process. Consequently, EBID process control is, in general, limited and certain common experimental results regarding nanofiber growth have yet to be fully explained. Such anomalous results have been addressed in this work both experimentally and by computer simulation. Specifically, a correlation between SiOx nanofiber deposition observations and the phenomenon of electron beam heating (EBH) was shown by comparison of thermal computer models and experimental results. Depending on the beam energy, beam current, and nanostructure geometry, the heat generated can be substantial and may influence the deposition rate. Temperature dependent EBID growth experiments qualitatively verified the results of the EBH model.; Additionally, EBID was used to produce surface image layers for maskless, direct-write lithography (MDL). A single layer process used directly written SiOx features as a masking layer for amorphous silicon thin films. A bilayer process implemented a secondary masking layer consisting of standard photoresist into which a pattern---directly written by EBID tungsten---was transferred. The single layer process was found to be extremely sensitive to the etch selectivity of the plasma etch. In the bilayer process, EBID tungsten was written onto photoresist and the pattern transferred by means of oxygen plasma dry development following a brief refractory descum. Conditions were developed to reduce the spatial spread of electrons in the photoresist layer and obtain ∼ 35 nm lines.; Finally, an EBID-based technique for field emitter repair was applied to the Digital Electrostatically focused e-beam Array Lithography (DEAL) parallel electron beam lithography configuration to repair damaged or missing carbon nanofiber cathodes. The I-V response and lithography results from EBID tungsten-based devices were comparable to CNF-based DEAL devices indicating a successful repair technique.
Keywords/Search Tags:EBID, Beam, Deposition, Technique, Results, Experimental, Lithography
Related items