Font Size: a A A

Research On Thermal Modeling And Power Management Of Many-core Chip

Posted on:2017-01-09Degree:MasterType:Thesis
Country:ChinaCandidate:M ZhangFull Text:PDF
GTID:2308330485988282Subject:Microelectronics and Solid State Electronics
Abstract/Summary:PDF Full Text Request
Integrated circuits is the crystallization of human wisdom, which has profound influence on human society. Processors and microprocessors have fully promote the development of the industry and are the head of the industry. It’s hard to imagine what our life will be, without the emergency of compute, phone and what nowadays industry will be. However, the head will fever, too.As the number of cores of the processor and microprocessor, many-core or multi-core chips becomes the trend of high performance chips. The integration of one chip increases constantly, and which causes the thermal problem. This is because the density of leakage power loses control with the feature size decreasing. So there will be many hotspots of the chip and we can’t handle the situation with traditional heat dissipation. As a result, we can’t make the whole chip work at the same time, which is the phenomenon of Dark Silicon. However, dark doesn’t mean useless. It is useful and is the point of departure of our paper.At first, we introduce the thermal modeling method by using hotspot. This thermal modeling method simulates the static situation and the transient situation. For many-core and multi-core chips, we use the composable thermal modeling method. At first, we found a structure for a core or a cache. Then we use the founded models to generate the whole model of the chip.Our power budget method improves the power distribution of the chip and assigns the right task to the right core. With all the temperature of the cores blow the safe temperature, we use MPC and some other optimization method to find the best power budget. Then Hungrian method is used to match the task and the power budget, with the help of DVFS and task migration. If there are some power budgets left, we use DVFS to moderate these tasks. Finally, all the budgets are matched with the tasks. This new power budget method and the new matching method cooperates to make the best performance of the chip, under the consideration of all the temperatures below the safe temperature.
Keywords/Search Tags:dark silicon, many-core, power consumption, matching, thermal management
PDF Full Text Request
Related items