Font Size: a A A

Design of negative bias temperature instability (NBTI) tolerant register file

Posted on:2013-10-14Degree:M.SType:Thesis
University:Utah State UniversityCandidate:Kothawade, SaurabhFull Text:PDF
GTID:2458390008473602Subject:Engineering
Abstract/Summary:
Degradation of transistor parameter values due to Negative Bias Temperature Instability (NBTI) has emerged as a major reliability problem in current and future technology generations. NBTI Aging of a Static Random Access Memory (SRAM) cell leads to a lower noise margin, thereby increasing the failure rate. The register file, which consists of an array of SRAM cells, can suffer from data loss, leading to a system failure. In this work, we study the source of NBTI stress in an architecture and physical register file. Based on our study, we modified the register file structure to reduce the NBTI degradation and improve the overall system reliability. Having evaluated new register file structures, we find that our techniques substantially improve reliability of the register files. The new register files have small overhead, while in some cases they provide saving in area and power.
Keywords/Search Tags:NBTI, Register file
Related items