Font Size: a A A

Chemical vapor deposition of tungsten-based diffusion barrier thin films for copper metallization

Posted on:2010-12-21Degree:Ph.DType:Dissertation
University:University of FloridaCandidate:Kim, DojunFull Text:PDF
GTID:1441390002977237Subject:Engineering
Abstract/Summary:
The ternary material WNxCy was investigated for Cu diffusion barrier application. Thin films were deposited from tungsten diorganohydrazido(2-) complexes Cl4(CH3CN)W(NNR 2) (1: R2=-(CH2)5-; 2: R2=Ph2; 3: R2=Me 2) using metal-organic aerosol-assisted CVD. The films deposited from these novel precursors were characterized for their composition, bonding state, structure, resistivity, and barrier quality.;WNxCy films from 1, 2 and 3 were successfully deposited in the absence and the presence of NH3 in H2 carrier in the temperature range 300 to 700 °C. All WNxCy films contained W, N, C, and a small amount of O as determined by XPS. The Cl content of the film was below the XPS detection limit (∼ 1 at. %). The chemical composition of films deposited with 1 in H2/NH3 exhibited increased N levels and decreased C levels over the entire temperature range of this study as compared with to films deposited 1 in H2. As determined by XPS, W is primarily bonded to N and C for films deposited at 400 C, but at lower deposition temperature the binding energy of the W-O bond becomes more evident. The films deposited at 400 °C were X-ray amorphous and Cu/WNxCy/Si stacks annealed under N2 at 500 °C for 30 min maintained the integrity of both the Cu/WNx Cy and WNxCy/Si interfaces.;Comparison of films deposited from 2 with H2 only and H2/NH3 shows that the best films, in terms of composition, resistivity, surface roughness, and microstructure, are deposited using H2/NH3 carrier. The microstructure of films deposited with NH3 was X-ray amorphous below 450 °C. XPS measurements revealed that W is primarily bonded to N and C for films deposited between 300 and 700 °C. An Arrhenius plot of growth rate was consistent with surface reaction limited growth and the activation energy was lower for growth in the presence of NH3. It was observed that the surface roughness improved with added NH3. Samples annealed at higher temperature showed evidence of failure only when annealed at 700 °C. These results support the conclusion that WNxCy thin film deposited from 2 is a viable Cu diffusion barrier material.;As anticipated, the film N content was higher for films deposited from 3 with added NH3 as compared to those deposited from 1 and 2. The films deposited with NH3 in H 2 carrier at 400 °C had the highest N content of all films (27 at. %). An amorphous film microstructure was observed for films deposited below 500 °C. The apparent activation energy for the film growth in the kinetically controlled growth regime was 0.31 eV. The observation of AFM monograph indicates that the surface roughness improved with added NH3.;Film growth of WNxCy by metal-organic aerosol-assisted CVD using 1, 2, and 3 highlights the importance of precursor selection, co-reactant selection (H2 only, H2/NH3, N2 only, and N2/NH 3), and operating parameters (deposition temperature, pressure, and flow rate) on film properties and barrier performance. Preliminary material characterization and diffusion barrier testing reveals that films deposited using 2 with NH3 in H2 carrier is most promising for diffusion barrier applications.
Keywords/Search Tags:Films, Diffusion barrier, Deposited, NH3, Thin, Wnxcy, Deposition, Carrier
Related items