Font Size: a A A

A Design Space Exploration Methodology for Parameter Optimization in Multicore Processor

Posted on:2018-04-21Degree:M.SType:Thesis
University:University of Nevada, RenoCandidate:Kansakar, PrasannaFull Text:PDF
GTID:2442390002497245Subject:Computer Science
Abstract/Summary:
The need for application specific design of multicore/manycore processing platforms is evident with computing systems finding use in diverse application domains. In order to tailor multicore/manycore processors for application specific requirements, a multitude of processor design parameters must be tuned accordingly which involves rigorous and extensive design space exploration over large search spaces. In this thesis, we propose an efficient methodology for design space exploration. We evaluate our methodology over two search spaces small and large, using a cycle-accurate simulator (ESESC) and a standard set of PARSEC and SPLASH-2 benchmarks. For the smaller design space, we compare results obtained from our design space exploration methodology with results obtained from fully exhaustive search. The results show that solution quality obtained from our methodology is within 1.35% - 3.69% of the results obtained from fully exhaustive search while only exploring 2.74% - 3% of the design space. For a larger design space, we compare solution quality of different results obtained by varying the number of tunable processor design parameters included in the exhaustive search phase of our methodology. The results show that including more tunable parameters in the exhaustive search phase of our methodology greatly improves solution quality.
Keywords/Search Tags:Design space, Methodology, Exhaustive search, Solution quality, Results
Related items